Детали новости

TSMC's Technological Advancements and Production Plans

07/05/2024

Last week at its Technology Symposium, TSMC made significant announcements regarding its future production plans and technological advancements. The company revealed that it is set to commence high volume production on its N2 process utilizing Gate-All-Around (GAA) transistors later this year, marking a pivotal step forward in its semiconductor manufacturing capabilities. Additionally, TSMC disclosed that production on its N2P process incorporating both GAA and backside power delivery (BSD) is scheduled to commence in 2026, showcasing their commitment to pushing the boundaries of innovation in the industry.
 

2nm process

In comparison, Intel announced its forthcoming 18A process, featuring both GAA and BSD components, expected to enter production next year. Intel aims to reclaim its position as a leader in process technology within the industry with the introduction of this cutting-edge technology, highlighting the competitive landscape within the semiconductor market.

On the other hand, Samsung made strides in GAA technology by initiating the production of GAA Integrated Circuits (ICs) on 3nm nodes two years ago. However, despite their early adoption, reports emerged suggesting challenges with yields, underscoring the complexities inherent in advancing semiconductor processes. Samsung's roadmap indicates that the integration of BSD is earmarked for their 2nm process, initially planned for production in February of this year, demonstrating a strategic approach to technology evolution.

Furthermore, Samsung announced a significant foundry contract for their 2nm process with Preferred Networks (PFN), a Japanese AI startup founded ten years ago. This collaboration highlights the importance of partnerships in driving innovation and fostering synergies between established industry players and emerging technology companies.

In conclusion, the recent announcements from TSMC, Intel, and Samsung underscore the rapid pace of technological advancements in the semiconductor industry and the strategic vision of key players to maintain their competitiveness and drive innovation forward. These developments pave the way for exciting opportunities and breakthroughs in semiconductor manufacturing processes in the years to come.

Теги

перекрестные новости

1. Powertip's Multi-Option Single-Board Computer: A V...

Powertip's Multi-Option Single-Board Computer: A Versatile and Powerful Platform for Custom Application

2. WLAN Market Trends in Q3 2023

WLAN Market Trends in Q3 2023

3. University of Hawaii awarded $4m contract by NASA ...

University of Hawaii awarded $4m contract by NASA for the development of advanced infrared sensors

4. Online Components by Chips Pulse

Online Components by Chips Pulse

5. Hamamatsu Photonics: S11639N-02 and S13496N-02

Hamamatsu Photonics: S11639N-02 and S13496N-02

6. Neurxcore has introduced a new neural processor

Neurxcore has introduced a new neural processor

7. CGD Signs Distribution Deal with DigiKey for GaN-B...

CGD Signs Distribution Deal with DigiKey for GaN-Based Power ICs

8. Our Company Kicks off the New Working Year with a ...

Our Company Kicks off the New Working Year with a Festive Party to Boost Team Spirit!

9. Nordic Semiconductor Expands nRF91 Series with nRF...

Nordic Semiconductor Expands nRF91 Series with nRF9151 SiP

10. Chips Pulse Announces Labor Day Vacation Schedule

Chips Pulse Announces Labor Day Vacation Schedule