Детали новости

TSMC's Technological Advancements and Production Plans

07/05/2024

Last week at its Technology Symposium, TSMC made significant announcements regarding its future production plans and technological advancements. The company revealed that it is set to commence high volume production on its N2 process utilizing Gate-All-Around (GAA) transistors later this year, marking a pivotal step forward in its semiconductor manufacturing capabilities. Additionally, TSMC disclosed that production on its N2P process incorporating both GAA and backside power delivery (BSD) is scheduled to commence in 2026, showcasing their commitment to pushing the boundaries of innovation in the industry.
 

2nm process

In comparison, Intel announced its forthcoming 18A process, featuring both GAA and BSD components, expected to enter production next year. Intel aims to reclaim its position as a leader in process technology within the industry with the introduction of this cutting-edge technology, highlighting the competitive landscape within the semiconductor market.

On the other hand, Samsung made strides in GAA technology by initiating the production of GAA Integrated Circuits (ICs) on 3nm nodes two years ago. However, despite their early adoption, reports emerged suggesting challenges with yields, underscoring the complexities inherent in advancing semiconductor processes. Samsung's roadmap indicates that the integration of BSD is earmarked for their 2nm process, initially planned for production in February of this year, demonstrating a strategic approach to technology evolution.

Furthermore, Samsung announced a significant foundry contract for their 2nm process with Preferred Networks (PFN), a Japanese AI startup founded ten years ago. This collaboration highlights the importance of partnerships in driving innovation and fostering synergies between established industry players and emerging technology companies.

In conclusion, the recent announcements from TSMC, Intel, and Samsung underscore the rapid pace of technological advancements in the semiconductor industry and the strategic vision of key players to maintain their competitiveness and drive innovation forward. These developments pave the way for exciting opportunities and breakthroughs in semiconductor manufacturing processes in the years to come.

Теги

перекрестные новости

1. SI predicts Nvidia will be the top ranked company ...

SI predicts Nvidia will be the top ranked company this year

2. Sharp Plans $3-5 Billion Investment for Display Fa...

Sharp Plans $3-5 Billion Investment for Display Fab in India

3. Exploring the Variances Between Circuit Breakers a...

Exploring the Variances Between Circuit Breakers and Fuses

4. Electronic Components Wholesale Distributors in Delhi

Electronic Components Wholesale Distributors in Delhi

5. CGD Signs Distribution Deal with DigiKey for GaN-B...

CGD Signs Distribution Deal with DigiKey for GaN-Based Power ICs

6. Texas Instruments: New 300-mm Fab in Utah

Texas Instruments: New 300-mm Fab in Utah

7. IBM and ASYMPT Introduce Revolutionary Hybrid Bond...

IBM and ASYMPT Introduce Revolutionary Hybrid Bonding Method for Chiplet

8. The Soviet Union's Rise in Microelectronics in the...

The Soviet Union's Rise in Microelectronics in the 1960s

9. University of Hawaii awarded $4m contract by NASA ...

University of Hawaii awarded $4m contract by NASA for the development of advanced infrared sensors

10. Q4 Foundry Revenues Surge by 7.9%

Q4 Foundry Revenues Surge by 7.9%